VHDL:在Quartus II里编写8位加法器(第一次由代码得到电路图)

   日期:2020-10-02     浏览:261    评论:0    
核心提示:前言最近一直没有时间,最想做的“设计一门完备又有效的ruby类语言”这种事也没时间做!由于这个EDA有课,所以就先练习下!VSCode编辑下载一个VHDL插件就好,VSCode的界面看着很舒服library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;--注释entity adder_8bits is port( A,B : in std_logic_vector(7 downt

前言

最近一直没有时间,最想做的“设计一门完备又有效的ruby类语言”这种事也没时间做!由于这个EDA有课,所以就先练习下!

VSCode编辑

下载一个VHDL插件就好,VSCode的界面看着很舒服

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
--注释
entity adder_8bits is 
    port(
        A,B : in std_logic_vector(7 downto 0);
        CIN : in std_logic;
        COUT: out std_logic;
        DOUT: out std_logic_vector(7 downto 0)
    );
    end entity adder_8bits;


architecture BIG of adder_8bits is
    signal DATA : std_logic_vector(8 downto 0);
    begin
        DATA <= ('0'&A)+('0'&B)+("00000000"&CIN);
        COUT <= DATA(8);
		DOUT <= DATA(7 downto 0);
    end architecture BIG;

Quartus II编译

输入代码,选择Processing > start > Analysis & Elaboration

查看RTL电路图

Tools > Netlist viewer > RTL viewer

 
打赏
 本文转载自:网络 
所有权利归属于原作者,如文章来源标示错误或侵犯了您的权利请联系微信13520258486
更多>最近资讯中心
更多>最新资讯中心
0相关评论

推荐图文
推荐资讯中心
点击排行
最新信息
新手指南
采购商服务
供应商服务
交易安全
关注我们
手机网站:
新浪微博:
微信关注:

13520258486

周一至周五 9:00-18:00
(其他时间联系在线客服)

24小时在线客服